News Studentsite

Jumat, 24 Desember 2010

Tugas FPGA

SEVEN SEGMEN DISPLAY

Seven Segmen Display adalah sebuah rangkaian komponen logika digital yang berfungsi untuk menampilkan sebuah karakter berupa angka-angka maupun huruf sehingga dapat dimengerti oleh manusia. Seven segmen display terdiri dari 7(tujuh) dioda LED(Light Emitting Diode) yang membentuk sebuah karakter dan nyalanya sebuah led tergantung dengan keinginan si pemakai. Seven segmen display biasa digunakan untuk mencounter sesuatu yang bernilai banyak agar lebih membantu manusia dalam pemrosesan.

Masing - masing dioda membutuhkan suatu input sebagai pengendali output yang akan dihasilkan. Terdapat 2(dua) type dari seven segmen display yakni, Common Anoda dan Common Katoda

Seven segmen display common anoda adalah seven segmen yang akan aktif bila ia menerima inputan. Sedangkan seven segmen display Common Katoda adalah seven segmen yang akan aktif bila diberi inputan high.

Adapun cara yang digunakan untuk menghasilkan sinyal pengendali dari seven segmen display ialah dengan menggunakan seven segmen decoder atau berupa sandi Binary Code Decimal (BCD) yang membutuhkan 4(empat) inputan dengan angka berbasis heksadesimal (bahasa mesin) yang kemudian inputan tersebut akan diterjemahkan ke dalam 7(tujuh) sinyal yang setiap sinyalnya mengatur aktif atau tidaknya LED.

dibawah ini adalah contoh seven segmen common katoda.

dibawah ini adalah contoh program vhdl untuk seven segmen display :


sumber :
http://vhdlguru.blogspot.com/2010/03/vhdl-code-for-bcd-to-7-segment-display.html
http://fahim007.wordpress.com/2008/10/20/merancang-seven-segment-display-decoder/

 
Design by Free WordPress and blogger Themes | Bloggerized by Lasantha - Premium Blogger Themes | Online Project management