News Studentsite

Senin, 25 Oktober 2010

Tugas FPGA

Program D flip - flop pada VHDL

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

sumber :
www.seas.upenn.edu/~ese201/vhdl/vhdl_primer.html#DFlipFlop

Tugas FPGA

Library dan Package pada VHDL

Library IEEE:
use IEEE.std_logic_1164.all;
use IEEE.std_logic_textio.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_unsigned.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;


Library STD:
use STD.standard.all;
use STD.textio.all;


Library WORK:
Sebuah pendeklarasian yang implisit,dimana semua source codenya akan
dimasukkan ke library tersebut.

contoh:
use WORK.data_types.all;
dll.


Sumber :
www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

Minggu, 24 Oktober 2010

Tulisan Bahasa Indonesia 1

Mengapa Bahasa Indonesia Sangat Penting

Di setiap Negara pasti mempunyai bahasa,kita sebagai warga Negara Indonesia harus bangga dan wajib menggunakan bahsa Indonesia sebagai bahasa percakapan sehari –hari. Bahasa Indonesia sangatlah penting dikarenakan Indonesia ini terdiri dari beberapa pulau dan berbagai macam suku yang berbeda. Dan setiap pulau pasti mempunyai bahasa daerah yang berbeda pula, begitu juga pada sekelompok suku pasti setiap suku yang satu dengan suku yang lain mempunyai bahasa yang berbeda sehingga jika kita yang tidak mengenal bahsa adat tersebut maka percakapan atau transaksi padanya akan kacau balau.

Disinilah bahasa Indonesia berperan penting, jika kita ingin berbicara pada penduduk daerah yang tidak mengerti bahasa daerah kita, kita bias menggunakan bahasa Indonesia yang telah dikenal oleh para penduduk Indonesia dari Sabang sampai Merouke dan resmi menjadi bahasa Negara Indonesia.contoh kecil, misalkan kita ingin membeli sesuatu di daerah dan kita tidak mengenal bahsa daerah tersebut apa yang akan terjadi? Apakah kita dapat berteransaksi dengannya? Tentu tidak, didaerah hampir seluruh penduduknya telah mengerti bahasa Indonesia jadi jangan khawatir kita tersesat didaerah.Selain itu bahasa Indonesia juga harus dikembangkan dan jangan dirusak, caranya bagaimana? Janagan memplesetkan kata – kata yang termasuk bahasa Indonesia, pelajari bahsa Indonesia dengan baik dan benar. Dan lain – lain.

 
Design by Free WordPress and blogger Themes | Bloggerized by Lasantha - Premium Blogger Themes | Online Project management