News Studentsite

Senin, 25 Oktober 2010

Tugas FPGA

Library dan Package pada VHDL

Library IEEE:
use IEEE.std_logic_1164.all;
use IEEE.std_logic_textio.all;
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_signed.all;
use IEEE.std_logic_unsigned.all;
use IEEE.math_real.all;
use IEEE.math_complex.all;


Library STD:
use STD.standard.all;
use STD.textio.all;


Library WORK:
Sebuah pendeklarasian yang implisit,dimana semua source codenya akan
dimasukkan ke library tersebut.

contoh:
use WORK.data_types.all;
dll.


Sumber :
www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

0 comments:

Posting Komentar

 
Design by Free WordPress and blogger Themes | Bloggerized by Lasantha - Premium Blogger Themes | Online Project management